• 首页 >  信息科技 >  半导体
  • 半导体行业专题:先进封装超越摩尔定律晶圆厂和封测厂齐发力-240919(45页).pdf

    定制报告-个性化定制-按需专项定制研究报告

    行业报告、薪酬报告

    联系:400-6363-638

  • 《半导体行业专题:先进封装超越摩尔定律晶圆厂和封测厂齐发力-240919(45页).pdf》由会员分享,可在线阅读,更多相关《半导体行业专题:先进封装超越摩尔定律晶圆厂和封测厂齐发力-240919(45页).pdf(45页珍藏版)》请在本站上搜索。 1、半导体行业专题:先进封装超越摩尔定律,晶圆厂和封测厂齐发力行业研究行业研究 行业专题行业专题电子电子 半导体半导体投资评级:优于大市投资评级:优于大市(维维持持)证券研究报告证券研究报告|2024|2024年年0909月月1919日日证券分析师:詹浏洋010- S0980524060001证券分析师:胡剑证券分析师:胡剑021- S0980521080001证券分析师:胡慧证券分析师:胡慧021- S0980521080002证券分析师:叶子0755- S0980522100003联系人:李书颖联系人:李书颖0755-请务必阅请务必阅读正文之后的免责声明及其项下所有内读正文之后的免责声明及其项2、下所有内容容一方面,当前先进芯片发展面临“存储墙”“面积墙”“功耗墙”和“功能墙”,仅依靠先进制程无法解决,先进封装成为重要助力。另一方,随着工艺制程进入10nm以下,芯片设计成本快速提高。根据IBS的数据,16nm工艺的芯片设计成 本为1.06亿美元,5nm增至5.42亿美元。同时,由于先进制程越来越接近物理极限,摩尔定律明显放缓,侧重封装技术的 More than Moore路径越来越被重视。根据Yole的预测,2023年全球先进封装营收为378亿美元,2029年增长到695亿美元,2023-2029年的CAGR达10.7%。其中2.5D/3D封装增速最快;高端封装市场规模将从2023年的3、43亿美元增长至2029年的280 亿美元,CAGR达37%;先进封装领域资本开支将从2023年的99亿美元提高至2024年的115亿美元。先进封装技术多样,目的是提高集成度和性能并降先进封装技术多样,目的是提高集成度和性能并降低成本低成本先进封装技术包括FO(扇出型封装)、WLCSP(晶圆级芯片规模封装)、FCCSP(倒装芯片级封装)、FCBGA(倒装芯片球 栅阵列封装)、2.5D封装、3D封装、ED(芯片封装)、SiP(系统级封装)等。相比传统封装技术,先进封装由有线变为 无线,从芯片级封装拓展至晶圆级封装,从单芯片封装拓展至多芯片封装,从2D封装拓展至2.5D/3D封装,从而缩小封装 体4、积、增加1/0数、提高集成度和性能,并降低成本。Chiplet(芯粒/小芯片)是后摩尔时代的重要路径,相比SoC,具 有更高的灵活性、可扩展性和模块化,根据martket.us的预测,全球Chiplet市场规模将由2023年的31亿美元增长至2033 年的1070亿美元,CAGR 约42.5%。请务必阅读正文之后的免责声明及其项下所有内容先进封装超越摩尔定律,晶圆厂和封测厂先进封装超越摩尔定律,晶圆厂和封测厂齐发力齐发力 后摩尔时代,先进封装获重视后摩尔时代,先进封装获重视先进封装超越摩尔定律,晶圆厂和封测厂先进封装超越摩尔定律,晶圆厂和封测厂齐发力齐发力晶圆厂和封测厂均积极布局先进封装晶圆厂5、和封测厂均积极布局先进封装,相互之间既有竞争也有合作,相互之间既有竞争也有合作晶圆厂依靠前道工艺优势入局先进封装。先进封装,尤其是高端封装的实现越来越依赖前道技术,台积电、英特尔和 三星等晶圆厂优势突出,凭借先进封装需求走高,2023年台积电、英特尔、三星的封装收入分别位列全球第三到第五。台积电:台积电:2008年成立集成互连与封装技术整合部门,专门研究先进封装技术,重心发展扇出型封装InFO、2.5D封装CoWoS和3D封装 SoIC。英伟达H100、A100、B100均采用CoWoS封装,在A1强劲需求背景下,台积电CoWoS产能持续紧张,除持续扩产外,台积电也 积极与OSAT厂商合作。台6、积电表示未来只会专注最前沿的后道技术。三星:三星:提供2.5D封装I-Cube、3D封装X-Cube等,2022年12月在半导体业务部门内成立先进封装(AVP)业务团队,2024年7月AVP业 务团队重组为AVP开发团队,以加强2.5D、3D等先进封装技术。英特尔:英特尔:提供2.5D封装EMIB、3D封装Foveros等。0 0SATSAT 厂商发力先进封装以获取价值增量。厂商发力先进封装以获取价值增量。相比传统封装,先进封装不仅需求增速更高,在产业链中的价值占比也更高,传统0SAT(0utsourced Semiconductor Assembly and Testing,委外半导体封测)7、大厂如日月光、长电科技等为了获 取更高的市场份额和价值量,均在大力发展先进封装技术,2023年前六大OSAT厂商约41%资本开支投向了先进封装。投资策略:投资策略:推 荐长电科技、通富微电、伟测科技等。长电科技、通富微电、伟测科技等。风险提示:风险提示:国产替代进程不及预期;下游需求不及预期;行业竞争加剧的风险;国际关系发生不利变化的风险。请务必阅读正文之后的免责声明及其请务必阅读正文之后的免责声明及其项下所有内容项下所有内容01 后摩尔时代,先进封装获重视后摩尔时代,先进封装获重视02 晶圆厂依靠前道工艺优势入局先进封装晶圆厂依靠前道工艺优势入局先进封装03 OSAT厂商发力先进封装以获取价8、值厂商发力先进封装以获取价值增量增量04 先进封装标的推荐先进封装标的推荐请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容目录目录后摩尔时代,先进封装获重后摩尔时代,先进封装获重视视芯片封装和测试是芯片制造的关键一环。芯片封装是用特定材料、工艺技术对芯片进行安放、固定、密封,保护芯片性 能,并将芯片上的接点连接到封装外壳上,实现芯片内部功能的外部延伸。芯片封装完成后,芯片测试确保封装的芯片符 合性能要求。通常认为,集成电路封装主要有电气特性的保持、芯片保护、应力缓和及尺寸调整配合四大功能。半导体产业垂直分工造就专业委外封装测试企业(0SAT)。半导体企业9、的经营模式分为IDM(垂直整合制造)和垂直分工 两种主要模式。IDM模式企业内部完成芯片设计、制造、封测全环节,具备产业链整合优势。垂直分工模式芯片设计、制 造、封测分别由芯片设计企业(Fabless)、晶圆代工厂(Foundry)、封 测 厂(OSAT)完成,形成产业链协同效应。来料检查 Incoming Inspection贴膜贴膜 Tape Attaching磨片磨片BackgrindingIDM模模 式式设计设计制造制造封测封测英英特尔、三星、德州仪特尔、三星、德州仪器器英伟达、高通、圣邦股份英伟达、高通、圣邦股份台积电、中芯国际、华虹半导体日月光、长电科技、通富微电日月光、长电科技、10、通富微电芯片封装测试随半导体芯片封装测试随半导体产业发展重要性日渐提升产业发展重要性日渐提升图:半导体企业的主要经营模式图:半导体企业的主要经营模式Fabless+Foundry+OSAT图:半导体封装工艺示意图:半导体封装工艺示意资料来源:华虹公司招股说明书,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容资料来源:上海新阳招股说明书,国信证券经济研究所整理塑封塑封Molding 品质检验品质检验 QualyQualy A Assurancessurance键合键合wire Bonding 产品出货产品出货 Shipping去毛刺、电镀去毛刺、电镀Defkashing.pl11、ating切筋打膏切筋打膏TrimmingTrimming&FormingForming贴片 Water Mounting装装 片片Dle Ataching制造制造封测封测设计设计划片划片 picingpicing封测行业随半导体制造功能、性能、集成度需封测行业随半导体制造功能、性能、集成度需求提升不断迭代新型封装技术。迄今为止全球集成电路封装求提升不断迭代新型封装技术。迄今为止全球集成电路封装技术一共经历 了五个发展阶段。当前,全球封装行业的主流技术处于以了五个发展阶段。当前,全球封装行业的主流技术处于以CSPCSP、BGABGA为主的第三阶段,并向以系统级封装为主的第三阶段,并向以系统级封12、装(SiP)(SiP)、倒装焊封 装装(FCFC)、芯片上制作凸点芯片上制作凸点(BumpingBumping)为代表的第四阶段和第五阶段封装技为代表的第四阶段和第五阶段封装技术迈进。术迈进。全球半导体封装行业保持稳定增全球半导体封装行业保持稳定增长,先进封装市场规模将于长,先进封装市场规模将于20272027年首次超过传统封装。根据年首次超过传统封装。根据SemiconductorSemiconductorEngineering 预测,全球半导体封装市场规模将由2020年650.4亿美元增长至2027年1186亿美元,复合增长率为6.6%。先进封装复合增长率超过传统封装,有望于2027年市场13、规模超过传统封装,达到616亿美元。图:半导体封装发展历史图:半导体封装发展历史 图:全球半导体封装市场规模预测$140 0B$120 0B$100 0B阶段阶段 时间时间封装封装 具体典型的封装形式具体典型的封装形式第一阶段第一阶段20世纪70 年代以前通孔插装型封装晶体管封装(TO)、陶瓷双列直插封装(CDIP)、塑料双列直插 封装(PDIP)第二阶段第二阶段20世纪80 年代以后表面贴装型封装塑料有引线片式载体封装(PLCC)、塑料四边引线扁平封装 (PQFP)、小外形表面封装(SOP)、无引线四边扁平封装(PQFN)、小外形晶体管封装(SOT)、双边扁平无引脚封装 (DFN)第三阶段第14、三阶段20世纪9 年代球栅阵列封装(BGA)塑料焊球阵列封装(PBGA)、陶瓷焊球阵列封装(CBGA)、带 散热器焊球阵列封装(EBGA)、倒装芯片焊球阵列封装(FC-BGA)$80.0B$60.0B$40.0B$20.0B$0.0B2020晶圆级封装(WLP)芯片级封装(CSP)第四阶段第四阶段引线框架CSP封装、柔性插入板CSP封装、刚性插入板CSP封装、圆片级CSP封装20世纪末 开始多芯片组封装(MCM)多层陶瓷基板(MCM-C)、多层薄膜基板(MCM-D)、多层印制 板(MCM-L)系统级封装(S1P)维立体封装(3D)芯片上制作凸点(Bumping)第五阶段第五阶段21世纪前 1015、年开始微电子机械系统封装(MEMS)202$27.7B$33.1 Advanced 7 B资料来源:甬矽电子招股说明书,国信证券经济研究所整理资料来源:甬矽电子招股说明书,国信证券经济研究所整理 资料来源:Semiconductor Engineering,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容晶圆级系统封装-硅通孔(TSV)倒装焊封装(FC)表面活化室温连接(SAB)扇出型集成电路封装(Fan-Out)扇入型集成电路封装(Fan-in)芯片封装测试随半导体芯片封装测试随半导体产业发展重要性日渐提升产业发展重要性日渐提升216、020-2027,Semiconductor Packaging,Mainstream$37.7BTotal$46.$65.4B$80.2023$36.5B2024$41.5B$50.5B2025$46.5B$53.3B$87.0B2026027Market Forecast$50.6B$54.7B$55.9B$55.2B$94.8B$101.2B$61.6B$56.2B$57.0B$105.8B$112.1B$118.6B9 B 6 B2022 “存储墙存储墙”:处理器算力超过存储芯片存取能力,导致综合算力被存储器制约。2000-2020年间处理器的峰值算力 每两年增长3.1倍,而动态存储器17、的带宽每两年增长1.4倍,存储器的发展速度远落后于处理器,相差1.7倍。基于先进 封装的近存计算是解决途径之一。“面积墙面积墙”:芯片制程相同时,通过增大芯片面积可以 集成更多的晶体管数量,从而提升芯片的性能。但单颗芯 片尺寸受限于光刻机的光罩极限,且芯片制造良率随尺寸 增大而降低,从而增加成本。当前先进的EUV光刻机的最大 光罩面积为26 mm33 mm。通过先进封装技术集成多颗芯 片是解决“面积墙”的低成本主流方案。“功耗墙功耗墙”:近年来单个GPU和CPU的热设计功耗(TDP)逐年增大,由多个GPU芯片和HBM阵列组成的系统,其TDP可 能突破万瓦级,热设计者将面临极大的挑战。“功能墙功18、能墙”:单一衬底可实现的功能有限,可通过多芯 片异质集成技术,将传感、存储、计算、通信等不同功能 的元器件集成在一起。请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容热设计功耗/W1000+高 功 率GPU800 高功率CPU台式机600 移动设备4002002012 2014 2016 2018 2020 2022 2024年 份(c)功耗墙SiP 焊料发射频基板(d)功能墙当前先进芯片发展面临前先进芯片发展面临“存储墙存储墙”“”“面积墙面积墙”“”“功耗墙功耗墙”和和“功能墙功能墙”,”,仅依靠先进制程无法解决,先进封装成为重仅依靠先进制程无法解决19、,先进封装成为重 要助力。要助力。1000000 计算算力:90000倍/20年(3.1倍/2年)100000 存储带宽:30倍/20年(1.4倍/2年)10000 互连带宽:30倍/20年(1.4倍2年1000100100.10.011996 199920022005 2008 201120142017 2020后摩尔时代,先进封装获重视后摩尔时代,先进封装获重视资料来源:曹立强、侯峰泽,先进封装技术的发展与机遇资料来源:曹立强、侯峰泽,先进封装技术的发展与机遇,前瞻科技杂志,前瞻科技杂志,20222022年第年第3 3期期”集成电路科学与工程专刊集成电路科学与工程专刊”,”,国信证券经济国20、信证券经济研究所整理研究所整理图:当前先进芯片发展面临图:当前先进芯片发展面临“存储墙存储墙”“”“面积墙面积墙”“”“功耗墙功耗墙”和和“功能墙功能墙”年份(a)存储墙闪存 字储器处理器 波导时 间(b)面积墙探测器 光数字归一化扩展光探测器先进制程的成本快速提升且接近物理极限,先进封装获重视。随着工艺制程进入10nm以下,芯片设计成本快速提高。根 据International Business Strategies (IBS)的数据,16nm工艺的芯片设计成本为1.06亿美元,5nm增至5.42亿美元。同时,由于先进制程越来越接近物理极限,摩尔定律明显放缓,侧重封装技术的More than21、 Moore路径越来越被重视。台积电早已入局先进封装,近年约台积电早已入局先进封装,近年约10%10%资本开支主要用于先进封装。台积电在追求先进制程的同时,早在资本开支主要用于先进封装。台积电在追求先进制程的同时,早在2 2008年便成立 集成互连与封装技术整合部门入局先进封装,目前已形成门入局先进封装,目前已形成CoWoS、InFO、SoIC技术阵列。近年来,台积电每年资本开支技术阵列。近年来,台积电每年资本开支中中 约10%投入先进封装、测试、光罩等。SoICInFO-3D3DFabric2.5D PackagingCoWoSOCoWoS-13D Packaging2D Packaging22、InFO_oSnFO_BTime资料来源:台积电,国信证券经济研究所整理5580M5542.2Mion5435MSotware5290M5174 aM5145Mation528.550M7nm Snm后摩尔时代,先进封装获重视后摩尔时代,先进封装获重视图:芯片设计成本随着先进制程快速提升图:芯片设计成本随着先进制程快速提升 图:台积电先进封装技术图:台积电先进封装技术资料来源:IBS,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容ntegration nec t Density顺0InFOCoWoS-RSonyPTIHuatian23、SK Hynix_TSMCAmkor41%2023$9.9BSamsungIntel20232023年先进封装领域资本开支为年先进封装领域资本开支为9999亿美元。亿美元。根据Yole的数据,2023年先进封装领域资本开支为99亿美元,主要来自台积 电、英特尔、三星、SK海力士等半导体大厂,以及安靠、日月光、长电科技等头部0SAT厂商。Yole 预计2024年先进封装领 域资本开支将增加到115亿美元。先进封装约占IDM/晶圆代工厂2023年资本开支的9%;约占头部OSAT资本开支的41%。$9.9B37%30%-31%2022 2023-Capital Intensity%20232023年24、先进封装领域资本开年先进封装领域资本开支为支为9999亿美元亿美元资料来源:资料来源:Yole,Yole,国信证券经国信证券经济研究所整理济研究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容Top 6 OSAT Total CapEx(2023)$3.9BIDM/Foundry Total CapEx(2023)$92BTFMEASE JCETad kaangci9%gdnecv图:先进封装领域资本开支图:先进封装领域资本开支2024Q42023estimationigdnegcancvOthers 59%2023-20292023-2029年全25、球先进封装营年全球先进封装营收收CAGRCAGR为为1111%。根据Yole的预测,2023年全球先进封装营收为378亿美元,占半导体封装市 场的44%,预计2024年将增长13%至425亿美元,2029年增长至695亿美元,CAGR达11%,其中2.5D/3D封装增速最快。从从2019-20292019-2029年先进封装年先进封装1/01/0间距和间距和RDLRDL线宽线宽/线距的技术路线来看,呈缩小趋势。其中锡球线距的技术路线来看,呈缩小趋势。其中锡球1/01/0间距在300 m不变,RDL 线 宽/线距从5/5 m缩小至2/2 m,微凸块间距由80-40 m缩小至50-40 m。混合键26、合(Hybrid Bonding)使金属-金属 氧化物-氧化物面对面堆叠成为可能,可使凸块间距小于10 m,用 在W2W(wafer-to-wafer)和D2W(die-to-wafer)中。package2029$2.2Bdie$9.3B2023$37.8B$1.7B$7.2B$16.6B10.2B$2B CAGR3-29:11%Bump i/o pitch is scaling much faster than Ball/o pitch which drives a finer RDLLs at IC substrate package level.资料来源:Yole,国信证券经济研究所整27、理预计预计2023-20292023-2029年全球先进封装营收年全球先进封装营收CAGR为为11%11%图:先进封装市场规模预测图:先进封装市场规模预测资料来源:Yole,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容Roadmaprepresents minimum values at HVM production Does not include R&D capability3DStPitchBump l/oPitchRDLL/sPCBSchematic not drawn to scale80 to 40 m 50 to 40 m图:先进封装图:先进封装1/01/028、间距和间距和RDLRDL线宽线宽/线距的技术路线线距的技术路线5/5 m 2/2 m202310-5m10-8 System in Flip chip WLCSPEmbeddedPitch Chip 1 Ch 220279-62019N2WD2W 2.5/3DFan out2025miatcllhI/o$69.5B40-10 m300 m$27.6B$2.3B20212025B预计2023-2029年全球高端封装市场规模CAGR达37%。根据Yole的预测,高端封装市场规模将从2023年的43亿美元增长至 2029年的280亿美元,CAGR 达37%;高端封装数量将从2023年的6.27亿增长29、至2029年的56亿,CAGR 达44%。按终端市场来看,2023年最大的应用是通讯/基础设施,占比超过67%;2023-2029年CAGR最高的应用是移动/消费电子,达50%。按技术来看,3D堆叠存储(包括HBM、3DS、3D NAND、CBA DRAM)占比最大,预计2029年贡献超过70%;2023-2029年增速较高的平台 是CBA(CMOS键合阵列)DRAM、3D SoC、有 源Si Interposer、3D NAND堆栈和嵌入式Si桥。HBM3DS$22MCAGR10%3DNAND$67MCAGR20%2023$0.36BCAGR 35%CAGR 41%8BCAGR 40%$5930、MCAGR23-2g:+37%$5.4BCAGR 74%$176M预计预计2023-20292023-2029年全球高端封装年全球高端封装市场规模市场规模CAGR达达37%37%图:图:2023-20292023-2029年高性能封装各技术平台规模预测年高性能封装各技术平台规模预测 图:图:20242024年高年高性能封装的性能封装的I/0I/0密度和间距密度和间距资料来源:Yole,国信证券经济研究所整理请 务 必 阅 读 正 文 之 后 的 免 责 声 明 及 其 项 下 所 有 内 容UHD FOCBA DRAM Co-EMIB Si BridgeSi InterposerMold In31、terposer3D SoC Active Si Interposer资料来源:资料来源:Yole,Yole,国信证券经济研究所整理国信证券经济研究所整理$6.64B$3.9BGR 650%$3.5BCA ACR 15%2029$28.4B$0.29B CAGR9%$4.34B$3.8B$1.5B1/O d e n s i t y*(1/O p e r m m )$198M$74M$OM$22$86$12M F0(Fan-0ut,扇出型封装):基于晶圆重构技术,将切割后的好芯片重新放置在载板上,芯片间距离视需求而定,布 线可在芯片内和芯片外,可提供更多的1/0数量,包括晶圆级扇出型(Fan-o32、ut Wafer Level Packaging,FOWLP)和面板 级扇出型(Fan-out Panel Level Packaging,FOPLP)。与之相对的FI(Fan-In,扇入型封装)布线均在芯片尺寸内。WLCSP(Wafer Level Chip Scale Packaging,晶圆级芯片规模封装晶圆级芯片规模封装):):将晶将晶圆级封装圆级封装(WLP)和芯片尺寸封装和芯片尺寸封装(CSP)合为一体的封装技术。WLP 是直接在晶圆上进行大部分或全部的封装测试程序,之后再进行切割;与之相对的传统工艺是 将单个芯片从晶圆上切割后再进行封装测试。CSP是指整个package的面积相比33、于silicon 总面积不超过120%的封装技术。Wafer Dicing PackagingTraditional PackagingDicingWafer PackagingWafer Level PackagingFan-In WLPAll RDL traces are routed in towards the center of the die资料来源:资料来源:ASE,ASE,国信证券经国信证券经济研究所整理济研究所整理Fan-Out WLPRDL traces are routed both inwards and outwards beyond the limits of th34、e die先进封装先进封装技术技术F0、WLCSP图:晶圆级封装和传统封装图:晶圆级封装和传统封装请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容资料来源:Semi Taiwan,semiengineering,晶化科技,国信证券经济研究所整理图:扇出型封装和扇入型封装图:扇出型封装和扇入型封装 FC(Flip Chip,倒装芯片):将芯片翻转使电气面朝下,通过凸点与基板连接;BGA(Ball Grid Array,球栅阵列):用焊球代替传统周边引脚。FCCSP(Flip Chip Chip Scale Package,倒装芯片级封装倒装芯片级封装)与与35、FCBGA(Flip Chip Ball Grid Array,倒装芯片球栅阵 列封装)相比,除整体尺寸更小外,在外形上没有明显差异,先进封装技术先进封装技术FCBGA、FCCSP资料来源:三星电机,国信证券资料来源:三星电机,国信证券经济研究所整理经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容BumpingFlipPackaging半导体Chip图图:FCCSP和和FCBGA封装技术封装技术1501200umTbstrate先进封装技术先进封装技术2.52.5D/3D2.5D 封装:封装:将多个芯片通过中介层(Interposer)连接36、,提高XY面密度,可以在保持性能的同时降低成本、提高良率,具有更好的灵活性和可扩展性。3D封装:直接在芯片上打孔和布线,实现Z方向的芯片堆叠和连接。目前在存储领域应用较多。TSV(Through Silicon Via,硅通孔)技术可以实现硅片内部垂直电互联,是实现2.5D、3D先进封装的关键技术之一。相比平面互连,TSV 可减小互连长度和信号延迟,降低寄生电容和电感,实现芯片间低功耗和高速通信。SotPCB 2.5DpackageInterposerSubstrateMicro bumpTSVnePackage Substrate or PCB Package Substrate or PC37、B资料来源:SemiWiki,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容2.5D IC 3D IC图:图:2 2D、2.5D、3D封装技术封装技术图图:ED封装技术封装技术Mount Components on SESUB SubstrateEmbedded ICSESUB Module cross-section资料来源:资料来源:ASEASE 官网,国信证券经济研究所整理官网,国信证券经济研究所整理请务必阅读正文之后的免责声明请务必阅读正文之后的免责声明及其项下所有内容及其项下所有内容 ED(Embedded Die,嵌入式芯片封装):将芯片嵌入基板内部,而非安装在38、基板表面上,可以缩短电路长度,提高电气 性能,减小封装尺寸。根据根据martket.usmartket.us的预测,的预测,EDED封装市场规模将由封装市场规模将由20232023年的年的0.950.95亿美亿美元增长至元增长至20332033年的年的6.096.09亿美元,亿美元,CAGCAG R约20%。先进封装技术先进封装技术ED资料来源:market.us,国信证券经济研究所整理图:全球图:全球ED封装市场封装市场规模规模280um(Max.)SiP(System in Package,系统级封装系统级封装):):根据国际半导体路线根据国际半导体路线组 织织(ITRS)的定义,的定义,39、SiP是将多个具有不同功能的有源电子元件与可是将多个具有不同功能的有源电子元件与可 选无源器件,以及诸如选无源器件,以及诸如MEMS或者或者光学器件等其他器件组装到一起,实光学器件等其他器件组装到一起,实 现一定功能的单个标准封装件,形成一个系统或者现一定功能的单个标准封装件,形成一个系统或者子系统。子系统。SiP封装内部可能用到倒装芯片、芯片堆叠、晶圆级封装等多种封 装技术。Telecom&InfrastructureFlip Chip/Wire-Bond SiP$11.4B,54%Fan Out SiP$1.6B,7%2.5D/3D SiP$8.3B,39%TotalTotal20222040、22$21.2B$7.5B$718M$59M$22M$9M$263M$28M$0.5M$1M$481M$1.2B$10.2B$335M$360M$0.1MTOTALTOTAL$19.0B$19.0B$1.4B$1.4B$0.5B$0.5B$0.3B$0.3B$0.03B$0.03B$0.001B$0.001BSHARE89%7%2.5%.4%0.1%0.002%CAGR 22-28+6.5%+20.3%+13.5%+15.3%+5.7%+2.6%SiP:System-in-Package资料来源:资料来源:YoleYole,国信证券经济研究所整理国信证券经济研究所整理请 务 必 阅 读 正 文41、 之 后 的 免 责 声 明 及 其 项 下 所 有 内 容请 务 必 阅 读 正 文 之 后 的 免 责 声 明 及 其 项 下 所 有 内 容图图:SiP 技术技术先进封装技术先进封装技术SiP14 m十资料来源:日月光官网,国信证券经济研究所资料来源:日月光官网,国信证券经济研究所整理整理图:图:20202222年全球年全球SiPSiP市场规市场规模模Automotive&ionHeterogeneousComponentsSystem-in-Package(SiP)KfspDie 140nm Fab1Mobile&ConsumeIntegrationMedicalMEMSU5L Chi42、plet(芯粒/小芯片):指预先制造好、具有特定功能、可组合集成的晶片(Die),可以组合起来创建更大的系统 或集成到现有芯片中,允许系统设计人员混合和匹配不同的芯片功能,以创建定制和优化的解决方案。相比SoC,具有更 高的灵活性、可扩展性和模块化。根 据martket.us 的预测,全球Chiplet 市场规模将由2023年的31亿美元增长至2033年的1070亿美元,CAGR 约42.5%。从产 品来看,2023年CPU Chiplet占比超过41%。CPU ChipletsMemory Chiplets Sensor Chiplets107.010075.18052.737.04026.43、018.212.83.102023 2024 2025 2026 2027 2028 2029 2030 2031 2032 2033r Rw lf:GroW42.5%i f ti dU r:ket$107.0B aul marketusSDMane3s3a0cor 2ForezeheSToilAGketCaeMtheAtThDesign CostHighLowPower UsageLowerHigherSizeSmallerLargerYieldLowerHigherDesign FlexibilityLowHighDevelopment TimeLongShortchipletGPU7nm44、CPUCPU7nmPcleI/OChipletChiplet 是后摩尔时代的重要路径是后摩尔时代的重要路径资料来源:TrendForce,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内请务必阅读正文之后的免责声明及其项下所有内容容GlobalChiplets MarketSize,by Type,2023-2033(USD Billion)GPU ChipletsNetworking Chiplets资料来源:market.us,国信证券经济研究所整理图:图:ChipletChiplet和和SoCSoC对比对比图:全球图:全球ChipletChiplet 市场下游分布市场下游45、分布SENSOR 28nm/O22nmGPUPCleSOMOCSENSORMEMORYSoC4.49.06.3ORY1202060 UCleUCle标准:标准:2022年3月,Intel、AMD、ARM、高通、三星、台积电、日月光、Google Cloud、Meta和微软等公司联合推 出“Universal Chiplet Interconnect Express”(通用芯粒互连,简称“UCle”),作 为Die-to-Die互连标准,主要 目的是统Chiplet 之间的互连接口标准,打造一个开放性的Chiplet 生态系统。Promoter MembersAlibaba Group AMDa46、 arm ASEGROUP Google Cloud intel.XMeta Microsoft nVIDIA.QualconM SAMSUNG命 winb资料来源:UCle 官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容miXeI 愈 NEUCHIPs MEURONIPMEURONIPNswmPHISON ucteSmartDV Tachyum THine3 rucp mt WValensAdopter Members赠云离 BiWIN -gnmt DECA a;ChipletChiplet是后摩尔时代的重要是后摩尔时代的重要路径路径Contributor Memb47、ersAchronix AOVANTEST A器相IAkiostar0.口 s t apmemoy aeMLG 2IGHTMATTE回 MARVEuMhcron neyespoinr proteanSIEMENS BPIL s8ussocionext G 计ogetopus SmmIBM tmecC kiwie血器SMcaOCHPwms JCETJunper WKEYSIGHTBrOteoaROADCoM cdenceCCREDO三GUc图:图:UCle联盟成员联盟成员Fgis InPsytechARTERism AAyotosCoMIRAmKsg IC C封装基板封装基板(ICIC Subs48、trateSubstrate):在先进封装中取代传统引线框架,为芯片提供支撑、散热和保护作用,也为芯片间 芯片与PCB间提供互连,可在其中埋入无源、有源器件。相比其他PCB板,具有高密度、高精度、薄型化及小型化等特点。根据Yole的数据,全球先进封装基板市场规模将由2023年的149亿美元增至2029年312亿美元;2022年中国企业先进封 装基板全球市占率仅5%。20232023年年9 9月英特尔宣布将在月英特尔宣布将在20302030年前推出用于下一代先进封装的玻璃基板年前推出用于下一代先进封装的玻璃基板(Glass(Glass CoreCore SubSubstrate)strate)。49、这是继陶。这是继陶瓷基板、有机基板后的第三种基板,相比有机基板,具有超低的平整度、更好的热传导性和电有机基板后的第三种基板,相比有机基板,具有超低的平整度、更好的热传导性和电性、更高的互连密度、更低性、更高的互连密度、更低的成本。图:全球先进封装基板市场规模图:全球先进封装基板市场规模$31,2 B$28,1 B$24,6 B$21,7 B$18,2 B$18,8B$14,9 B$5,5 B$6,2 B$6,8 B2018 2020 2021 2022 2023 2024 2025 2026 2027 2028CostThermal managementApplication rangeSup50、ply chaindiversificationInterconnectIC封装基板是先进封装封装基板是先进封装的重要材料的重要材料资料来源:Yole,国信证券经济研究所整理请务必阅读正文之后请务必阅读正文之后的免责声明及其项下所有内容的免责声明及其项下所有内容资料来源:Yole,国信证券经济研究所整理图:玻璃基板与有机基板对比图:玻璃基板与有机基板对比density$15,8 B晶圆厂依靠前道工艺优势入局先进封装晶圆厂依靠前道工艺优势入局先进封装图:前 十 大 封 装 厂 商 排 名图:前 十 大 封 装 厂 商 排 名2023(Q4-23 estimated)revenue(SM)sm0951、3ASFW/SPLA wousAmkorTSMCIntaams3CETCrouSamechnoTFMEPowrtech TecholoyTianthui HuatianS1726UTAC16800q-q32021资料来源:Yole,国信证券经济研究所整理晶圆制造厂在先进封装中的地位领先。先进封装,尤其是高端封装的实现越来越依赖前道技术,混合键合技 术(Hybrid Bonding,通过直接铜对铜的连接方式取代 凸点或焊球互连)正成为一种新趋势。台积电、英特尔 和三星等晶圆厂优势突出,凭借先进封装需求走高,2023年台积电、英特尔、三星封装收入分别位列全球第 三到第五。IO pitch(m)Ql52、 Q2 Q3 Q4 Ql Q4 QI Q2 Q3 Q4 Qi Q4 QI Q2 Q3 Q4 Q1 Q4 Ql Q2 Q3 Q4 QI23 23 23 23 24 22 23 23 23 23 24 22 23 23 23 24 22 23 23 23 23 24Intel TSMC Amkor Samsung Electronics3D Stacked FCBGA FCCSP FO WLCSP FC bumping SiP资料来源:资料来源:Yole,Yole,国信证券经济研究所整理国信证券经济研究所整理晶圆厂依靠前道工艺优势入局先进晶圆厂依靠前道工艺优势入局先进封装封装资料来源:Yole,国53、信证券经济研究所整理请 务 必 阅 读 正 文 之 后 的 免 责 声 明 及 其 项 下 所 有 内 容ASEw/PLaw/0 Us)Amkor5CET TSMC tnt52 2226s1556siss9+2023 TotI图:前 五 大 玩 家 先 进 封 装图:前 五 大 玩 家 先 进 封 装 收 入收 入40607 3310712702图:先 进 封 装 技 术 参 与 者图:先 进 封 装 技 术 参 与 者AmkorTSMC cErn2022 revenue (SM)2021 revenue(SM)ASEw/SPIL&w/o USIRevenue(sM)1638 ASE w/SP54、LAw/o Us)23 24 22Q4 Ql 22 235531024095Q2 Q3 23 2323941902Q4 Q13100029Tanthul Huat57092ech Techno4841147UTACUTACTFME55122TFME6061Sam44500图:台积电图:台积电3 3DFabricDFabric 技术平台技术平台3DFabricAdvanced PackageCoWoSO InFO TSMC-SoICEDA八八nsysMicroncdenceSAMSUNGMemoryASE GROUPSynOPSySSynOPSySTERADYNEEDA IP DCAVCA Me55、mory OSAT Substrate Testing2008年台积电成立集成互连与封装技 术整合部门,专门研究先进封装技术,重 心发展扇出型封装InFO、2.5D封装CoWoS 和3D封装SolC。2011年推出CoWoS,2012 与赛灵思在FPGA上合作量产;2014年投入 InFO研发,2016年获得苹果A10订单;2018年公开SoIC技术,2022年量产,AMD 是首发客户。2020年台积电宣布将其2.5D和3D封装产 品合并为一个全面的品牌3DFabric技术,进一步将制程工艺和封装技术深度整合;2022年宣布成立台积电开放创新平台(0IPQ)3DFabric 联盟,以进一步加速56、 3D IC生态系统的创新及完备。先进封装布局先进封装布局台积电台积电armcdence请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容IP DCAVCA MemoryADVANTESTcdenceOSAT Substrate Testing资料来源:台积电官网,国信证券经济研究所整理SK hynixalchipIBIDENAmkorUnimicron重SilicoNCREATioNSynOPSysGUCAlpha CoWoS(Chip-on-Wafer-on-Substrate):一种2.5D封装技术,根据中介层的不同,台积电CoWoS 封装技术包括C57、oWoS-S(Silicon Interposer)、CoWoS-R(RDL Interposer)以及CoWoS-L(Local Silicon Interconnect and RDL Interposer)三种类型。英伟达英伟达H100H100、A A100100、B100B100采用台积电采用台积电CoWoSCoWoS技术。技术。TrendForcTrendForc e 预计台积电预计台积电20242024年年CoWosCoWos总产能增长总产能增长150%,150%,年底达到月产能年底达到月产能 接近接近4040K,2025K,2025 年再增长年再增长7 7成,其中英伟达需求占比近58、成,其中英伟达需求占比近半。半。CoWoS-RRDL InterposerTop diesSOCbumpRDL InterposerC4PCB SubstrateCoWosChip-on-Wafer-on-Substrate资料来源:台积电官网,国信证券经济研究所整理Suh strate先进封装布局先进封装布局台积电台积电资料来源:台积电官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容CoWoS-LLSI+RDL InterposerHBMInterposerSoCSubstratePCB SubstrateBGA图:台积电图:台积电CoWoSCoWoS封装技术封装技术59、图:台积电图:台积电CoWoSCoWoS封装技术封装技术ing InFO(Integrated Fan-0ut):晶圆级系统集成技术,具有高密度RDL(重新分布层)和TIV(Through InF0 Via),可 实 现高密度互连和性能。台积电InFO封装技术包括InF0_oS(InF0 on Substrate)、InFO_PoP(InF0 Package onPackage)两种类型,其中InF0_PoP是业界首款3D晶圆级扇出封装。苹果自iPhone 7 A10处理器之后A处理器均采用台积电InFO 封装。图:台积电图:台积电InFO封装技封装技术术InF0_oS InF0_PoPInF60、0 Chip A Chip B DRAM先进封装布局先进封装布局台积电台积电资料来源:台积电官网,国信证券经济研究所整理资料来源:台积电官网,国信证券经济研究所整理请务必阅请务必阅读正文之后的免责声明读正文之后的免责声明及其项下所有内及其项下所有内容容SubstrateLogic先进封装布局先进封装布局台积电台积电 SolC(System-on-Integrated-Chips):推进异构小芯片 集成的3D封装技术,将有源和无源芯片集成到一个新的集成SoC系统中,该系统与原生SoC在电气上相同,具有体积小、超 高密度垂直堆叠、性能高、低功耗的特点。台积电SoIC封装技 术包括SoIC-CoW(61、SoIC-Chip on Wafer)、SolC-WoW(SoIC-Wafer on Wafer)两种类型。SoIC技术可以集成到CoWoS和InF0技术中。AMD M1300搭配使 用SolC 和CoWoS封 装SoIC-WoWUntested Wafer 1冒 围Wafer on WaferStacking图:台积电图:台积电SoICSoIC 技术可与技术可与CoWoSCoWoS 和和InFInF0 0 配合配合SoC TSMC-SoICCoWoSInFO_PoP资料来源:台积电官网,国信证券经济研究所资料来源:台积电官网,国信证券经济研究所整理整理请务必阅读正文之后的免责声明及其项下所有62、内容请务必阅读正文之后的免责声明及其项下所有内容SoIC-CoW资料来源:台积电官网,国信证券经济研究所整理Wafer Bumping Wafer Probing图:台积电图:台积电SoICSoIC 封装技术封装技术Dicing for PackagingUntested Wafer 22022年1212月,三星电子在半导体业务部门内成立先进封装月,三星电子在半导体业务部门内成立先进封装(AdvancedAdvanced PackagingPackaging,AVPAVP)业务团队,以加业务团队,以加强先进封装技强先进封装技 术,并在各业务部门之间创造协同效应;,并在各业务部门之间创造协同效应63、;20242024年年7 7月月AVPAVP业务团队重组为业务团队重组为AVPAVP开发团队,目的是抢占开发团队,目的是抢占2.52.5D D、3D3D等新封装等新封装技术。AVP团队可为客户和产品量身定制先进封装技术和解决方案,并将其商业化。同时特别致力于开发基于RDL(重 布 线 层)Si Interposer(硅中介层)/Bridge (硅桥接)和TSV(硅通孔)堆叠技术的下一代2.5D和3D高级封装解决方案。2023年三星成立MDI(多芯片集成)联盟。晶圆制造晶圆制造凸凸 块块封装封装测试测试逻辑芯片C4 焊锡凸块晶圆制造后晶圆测试中介层铜凸块组装封装测试硅电容器微凸塊焊锡先进封装布局64、先进封装布局三星三星资料来源:三星官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容图:三星一图:三星一站式的全面封装服站式的全面封装服务务先进封装布局先进封装布局三星三星 I-Cube:2.5D封装技术,采用三星的硅通孔和后道工序技 术,让多个芯片各自的专门功能和谐并存,从而提高效率。三 星于2018年推出I-Cube2,可以集成一个逻辑裸片和两个HBM裸 片。根据所用中介层的不同类型,I-Cube可细分为I-Cube S和I-Cube E。另外,三星还提供混合基底结构的封装技术H-Cube。X-Cube:3D封装技术,通过垂直堆叠组件来提高性能,三 星2020年推出 65、eXtended-Cube(X-Cube)。根据上下芯片连接 方式的不同,X-Cube分为X-Cube(-Bump/微凸块)和X-Cube(Hybrid Copper Bonding,铜混合键合)。I-Cube SI-CUBES兼具高带宽和高性能的优势,即使在大中介层下,仍具有出 色的翘曲控制能力。它不仅具有超低存储损失和高存储密度的特点 同时还大幅改进了热效率控制能力。此外,I-CUBE5 是一种异构技术,将一块逻辑芯片与一组高带宽存储器(HBM)裸片水平放置在一个 硅中介屈上,实现了高算力、高带宽数据传输和低延迟等特点oserI-Cube EI-CubeE 技术采用硅嵌入结构,不仅具有硅桥66、的精细成像优势,也同 时拥有LP 的技术特点:大尺寸、无无硅通孔(TSV 结构的RDL 中介层H-CubeH-Cube 是一种漏合基底结构,将精细成像的ABF(Ainomoto Build-up Film)基底和HDI(高密度互连)基底技术相结合,可在I-Cube 2.5D封装中实现较大的封装尺寸X-CubeX-Cube(微凸块微凸块)X-CUBE是先进封装技术的一个巨大飞跃,这种技术采用在Z轴堆叠逻 相裸片的方法,提高了动态键合能力。凭借这些创新,三星得以快速 推广其Chip-on-Wafer和混合键合技术,通过增加每个堆核的芯片 密度,进一步提升X-CUBE 的速度或性能。X-Cube(铜67、混合键合铜混合键合)HCB(铜混合键合):就芯片布局灵活性的观点而言,与传统的芯片 堆叠技术相比,铜混合键合技术具有极大的优势。Samsung Foundry 正在开发超精细的铜混合健合技术(例如低于4微米的规格)。资料来源:三星官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容资料来源:三星官网,国信证券经济研究所整理图:图:三星三星1-1-CubCube e封装技封装技术术图:三星图:三星X-CubX-Cube e封装技封装技术术LogicTop图:三星先进封装交钥匙解决方案图:三星先进封装交钥匙解决方案TypeTypeCurrentCurrent offeringo68、fferingRoadmapRoadmapPicturesPicturesI-CubeS2.5DInterposer size:3x reticle#of HBM:8x bump pitch:40mInterposer C4 pitch:150mPackage size:85*85 mm2Interposer size:4+reticle#of HBM:12x bump pitch:25mInterposer C4 pitch:125mPackage size:100*100 mm2I-CubesI-Cubes platform(SI-interposerplatform(SI-interpo69、ser)(RDL12x HBM2.5D/3.5D HBM Integrationinterposer/Sl-bridge)Down to 22%interposer(12xHBMInterpung4X ReticleSignal/PowerIntegritySamevs SIHinterposeI-CubeE2.5DInterposer size:3x reticle#of HBM:8x bump pitch:40mInterposer C4 pitch:150mPackage size:85*85 mm2Interposer size:4+reticle#of HBM:12x bump pi70、tch:25mInterposer C4 pitch:125mPackage size:100*100 mm2X-Cube(TCB)3DBump pitch :25mSilicon Thickness:40mBump pitch:21mSilicon Thickness:40mBump (TCB)Bumpless(HCB)In mass production(since2016)Bandwidthx40150ys uBumpAllowable Power30%vs BumpX-Cube(HCB)3DBump pitch :4mSilicon Thickness:10mBump pitch :371、mSilicon Thickness:10m资料来源:三星官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容先进封装布局先进封装布局三星三星Package cost英特尔希望到2030年实现单个封装中集成1万亿个晶体管的目标。EMIBEMIB(Embedded Multi-Die Interconnect Bridge):2.5D封装技术,不含中介层,通过嵌入基板的硅桥实现芯片直接的连接。Foveros:Foveros:3D 封装技术,2019年推出的Lakefield首次采用Foveros封装。英特尔预计 2025年3D封装产能将是2023年的4倍。EMIB+Fover72、osEMIB 3.5D 支持包含多种晶粒的灵活支持包含多种晶粒的灵活的异的异 构系统。构系统。非常适合需要在一个封装中组非常适合需要在一个封装中组 合多个合多个3 3D D 堆栈的应用堆栈的应用。英特尔英特尔 Data Center GPU Max Series SoC:使用使用EMIB 3.5D,打造出英特尔有史以来大批量打造出英特尔有史以来大批量 生产的最复杂的异构生产的最复杂的异构芯片,该芯片,该 芯片拥有超过芯片拥有超过10001000亿个晶体管、亿个晶体管、4747个活动磁贴和个活动磁贴和5 5个工艺节点。个工艺节点。倒装芯片球栅阵列倒装芯片球栅阵列FCBGA 2D具有单晶粒或多芯片73、封装(MGP)的复杂FGBGA/LGA 的全球领导 者。直接参与基板的供应链以及内 部研发(R&D),以优化基板技 术。创新的热压键合(TCB)工具的 最大基地之一,可提高产量,减少翘曲。生产验证:自2016年以来已实 现大批量生产(HVM)。资料来源:英特尔官网,国信证券经济研究所整理3D堆栈解决方案堆栈解决方案Foveros(2.5D和和3 3D)针对性价比优化的下一代封装。适用于客户端和边缘应用。适用于具有多个顶端芯粒的解决方案。生产验证:自2019年以来,利 用主动式基础晶粒进行大规模 生产。嵌入式多晶粒互连桥接嵌入式多晶粒互连桥接EMIB 2.5D 以高效且经济实惠的方式连接以高效且74、经济实惠的方式连接 多个复杂晶粒多个复杂晶粒。2.5D2.5D封装,用于逻辑到逻辑和封装,用于逻辑到逻辑和 逻辑到高带宽内存逻辑到高带宽内存(H(HBM)BM)。嵌入封装基板的硅桥用嵌入封装基板的硅桥用于连接于连接。可扩展架构可扩展架构。简化的供应链和组装流程简化的供应链和组装流程。生产验证:自2017年以来,利用英特尔和外部芯片进行大规用英特尔和外部芯片进行大规 模生产模生产。先进封装布局先进封装布局英特尔英特尔请务必阅读正文之后的免责声明及其项下所有内容图:英特尔封装技术图:英特尔封装技术OSAT厂商发力先进封装以获取价厂商发力先进封装以获取价值增量值增量2022年日月光推出VIPackP75、ack 先进封装平台,由先进封装平台,由六大核心技术组成,提供垂直互联集成封装解决方案。此平台利用先进的重 布线层(RDL)制程、嵌入式整合以及2.5D/3D封装技术,协助客户在单个封装中集成多个芯片来实现创新未来应用。FOPoP FOCoS FOCoS-Bridge整合設計生態系统(IDE)FOSiP资料来源:日月光官网,国信证券经济研资料来源:日月光官网,国信证券经济研究所整理究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容先进封装布局先进封装布局日月光日月光2.5D/3D Co-Packaged Optics图:日月光图:日月光VIPack76、VIPack先进封装平台的六大核心技术先进封装平台的六大核心技术Wafer Level Assembly(WLSMT)Wafer Level Assembly(WL Mold)Shielding SputteringBumpingBEOL(SolderAttached)Cross-section of Fan-Out SiP andTechnology Building BlocksFOCoS-CL(Chip Last)资料来源:日月光官网,国信证券经济研究所整理资料来源:日月光官网,国信证券经济研究所整理 FOCoS-CF(Chip First)请务必阅读正文之后的免责声明及其项下所有内容请77、务必阅读正文之后的免责声明及其项下所有内容 FOCoS-Bridge:通过桥接硅芯片连接不同芯片。FOPoP(Fan-0ut Package on Package):结合扇出式底 部封装和标准顶部封装,底部封装有两个RDL(顶部和底部),利用电镀铜柱实现穿模垂直互连。FOSiP(Fan-0ut System in Package):扇出型系统扇出型系统级 封装。先进封装布局先进封装布局日月光日月光 F0CoS(Fan-0ut Chip on Substrate):可将不同的芯 片封装在高脚数BGA基板上,包括FOCoS-CF(Chip First)和 FOCoS-CL(Chip Last)两 78、种。国信证券国信证券GUOSEN SECURITIES图:日月光扇出型封装技图:日月光扇出型封装技术术Top PackageF0PoPBottom PackageTopRouting PlaneCu PostBottom Routing PlaneFOCoS-BridgeEngine Data SpeedPluggable TransceiverOpticsQSFP(Quad SmallForm-factor Pluggable)100/400/800GOE(Optical Engine)GA/LGAoEkaging OpticsAdvancedPackagesPackages2.5D/3D:79、在2.5D结构中,两个或多个有源芯片 并排放置在硅中介层上,以实现极高的芯片到芯片 互连密度。在3D结构中,有源芯片采用堆叠的方式 集成,以实现最短的互连和最小的封装面积。Co-Packaged Optics和和Optical I/0:“共封装 光学”和“光学I/0”有望通过高度集成的组装进一 步缩短电气路径,从而提供更高的带宽,以确保比 可插拔光学更好的能源效率和资本支出。System BoardSystem Board先进封装布局先进封装布局日月光日月光资料来源:日月光官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容Int80、erposerSubstrateSwitchMemoryNetworking图:硅基光电子封装技术和应用图:硅基光电子封装技术和应用HPC/AI/MLSubstrate6.4/12.8T&beyondASICTop ViewPackageSide View3.2/6.4/12.8T800G/1.6TQSFP倒装封装技术:倒装封装技术:长电科技提供丰富的倒装芯片产品组合,从搭载无源元器件的大型单芯片封装,到模块和复杂的先进3D 封装,包含多种不同的低成本创新选项。长电科技提供从设计到生产的全方位一站式倒装芯片服务,包括高速、高引脚数 的数字和射频测试。晶圆级封装技术:晶圆级封装技术:长电科技提供81、的晶圆级技术解决方案包括扇入型晶圆级封装(FIWLP)、扇出型晶圆级封装(FOWLP)集成无源器件(IPD)、硅通孔(TSV)、包封芯片封装(ECP)、射频识别(RFID)。长电科技的创新晶圆级制造方法称为 FlexLineTM方法,为客户提供了不受晶圆直径约束的自由,同时实现了传统制造流程无法实现的供应链简化和成本降低。先进封装布局先进封装布局长电长电科技科技资料来源:长电科技官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容嵌入型晶圆级BGA封装(eWLB)晶圆级芯片尺寸封装(WLCSP)图:长电科技晶圆级封装技术图:长电科技晶圆级封装技术图:长电科技倒装封装技术图:82、长电科技倒装封装技术FCOL-Flip Chip on Leadframe集成型被动器件(IPD)包封芯片封装(ECFfcLGAfcPoPFCBGASP2.5D/3D2.5D/3D 集成技术:集成技术:长电科技积极推动传统封装技术的突破,率先在晶圆级封装、倒装芯片互连、硅通孔等领域中采用 多种创新集成技术,以开发差异化的解决方案,推出的2.5D/3D集成解决方案包括堆叠芯片封装、层叠封装、封装内封装、2.5D/多 芯 片eWLB 和 QFP-SD 等 。系统级封装技术:系统级封装技术:长电科技在SiP封装的优势体现在3种先进技术:1、双面塑形技术,有效地降低了封装的外形尺寸,缩短了多个裸芯片和83、无源器件的连接,降低了电阻,并改善了系统电气性能;2、EMI电磁屏蔽技术,使用背面金属化技术 来有效地提高热导率和EMI屏蔽;3、激光辅助键合(LAB)技术,使用激光辅助键合来克服传统的回流键合问题,例如CTE 不匹配,高翘曲,高热机械应力等导致可靠性问题。2.5D/多芯片eWLB QFP-SD-QuadFlat Pack with Stacked Die资料来源:长电科技官网,国信证券资料来源:长电科技官网,国信证券经济研究所整理经济研究所整理先进封装布局先进封装布局长电长电科技科技请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容堆叠芯片封装(SD)层84、叠封装(PoP)图:长电科技图:长电科技2.52.5D/3D/3D D集成技术集成技术图:长电科技系统级封装技图:长电科技系统级封装技术术封装内封装(PiP)lP Lamlnate eWLEHybrifcBG GASIP图:长电科技图:长电科技XDFOIXDFOI ChipletChiplet异构集成解决方案异构集成解决方案XDFOITMFamily2D 2.5D 3DMold-First RDL-First RDL-First Chip-on-ChipDry Film Fan-OutHybrid Fan-OutFace-to-Face Stacked Fan-Out PackageMobil85、e,Automotive Communication Computing,Automotive Medical,Industrial20212021年长电科技集合长期各项先进封装技术积累,正式推出面向年长电科技集合长期各项先进封装技术积累,正式推出面向ChipletChiplet的高密度多维异构集成技术平台的高密度多维异构集成技术平台XDFOITM,XDFOITM,利 用协同设计理念实现了芯片成品集成与测试一体化,涵盖用协同设计理念实现了芯片成品集成与测试一体化,涵盖2 2D D、2.5D2.5D、3D3D ChipletChiplet集成技术。集成技术。20232023年年1 1月长电科技宣86、布其月长电科技宣布其XDFOIXDFOI TMTM ChipletChiplet高密度多维异构集成系列工艺已按计划进入稳定量产阶段,同步高密度多维异构集成系列工艺已按计划进入稳定量产阶段,同步实现国际 客户客户4 4nmnm节点多芯片系统集成封装产品出货,最大封装体面积约为节点多芯片系统集成封装产品出货,最大封装体面积约为15001500mmmm的系统级封装。的系统级封装。先进封装布局先进封装布局长电长电科技科技资料来源:长电科技官网,国信证券经济研究所整理资料来源:长电科技官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容Di87、rect Laminated Fan-OutMolded Fan-Out20212021年公司在高性能计算领域建成了年公司在高性能计算领域建成了2.52.5D/3DD/3D封装平台封装平台(VISionSVISionS)及超大尺寸及超大尺寸FCBGAFCBGA研发平台。截至研发平台。截至20232023年底,通年底,通富微 电超大尺寸2D+封装技术、3D堆叠封装技术、大尺寸多芯片chip last封装技术已验证通过;SiP产品方面实现国内首家WB 分腔屏蔽技术研发及量产;通过高导热材料开发满足FCBGA大功率产品高散热需求。通富微电将大力投资2D+等先进封装研发,积极拉通Chiplet市场化应88、用,提前布局更高品质、更高性能、更先进的封 装平台,不断强化与客户的深度合作,拓展先进封装产业版图。先进封装布局先进封装布局通富微通富微电电资料来源:通富微电官网,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容图:通富微电先进封装技术图:通富微电先进封装技术FCCSP TechnologySiP TechnologyFO TechnologyWLP Technology先进封装标的推荐先进封装标的推荐全球第三大全球第三大OSATOSAT厂商,拥有六大生产基地。厂商,拥有六大生产基地。长电科技成立于1972年,2015年成功收购星科金朋,目前是全球第三大OSAT 厂商,在中国89、、韩国和新加坡设有六大生产基地和两大研发中心,在20多个国家和地区设有业务机构,可与全球客户进行 紧密的技术合作并提供高效的产业链支持,产品、服务和技术涵盖了主流集成电路系统应用,包括网络通讯、移动终端、高性能计算、车载电子、大数据存储、人工智能与物联网、工业智造等领域。2024年3月,公司拟以6.24亿美元现金收购晟碟半导体(上海)80%股权,加大先进闪存存储产品封装和测试产能布局的 同时,进一步增强与全球存储巨头西部数据的合作关系,或将受益于存储芯片需求提升。2024年上半年设计服务事业部成 功完成复杂的先进封装设计和Chiplet仿真项目,并顺利交付给战略关键客户。2Q24实现收入86.90、45亿元(YoY +36.9%,QoQ +26.3%),归母净利润4.84亿元(YoY +25.5%,QoQ +258%),扣非归母净利润4.74亿元(YoY +46.9%,QoQ +340%),毛利率为14.28%(YoY -0.8pct,QoQ +2.1pct)。研发费率 销售费率6%75%4%3%2%-1%-0%-2018 2019 2020一 毛利率 净利率18.17.0%15.5%13.7%11.2%9.6%5.0%3.-5%20222023 归 母 净 利 润(亿 元)YoY40730-1000%2010-0%-10-20J201820192020202120222023长电科技:91、全球第三大长电科技:全球第三大OSATOSAT厂商,全面布局先进封装厂商,全面布局先进封装资料来源:Wind,长电科技公告,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容20%15%10%5%0%-图:长电科技主要财务数图:长电科技主要财务数据据2021 2022 2023算雾囊率0.4%20191500%-500%500%4.9%9.7%1.4%201820212020全球第四大OSAT厂 商,AMD最大封测供应商。通富微电成立于1994年,2016年收购AMD苏州、槟城两厂85%股权,目前是 全球第四大OSAT厂商,在南通、合92、肥、厦门、苏州、马来西亚槟城拥有七大生产基地,为全球客户提供快速和便捷的服务,产品、技术、服务全方位涵盖网络通讯、移动终端、家用电器、人工智能和汽车电子等领域。另外,公司是AMD最大的封 测供应商,占其订单总数的80%以上,将随其业务成长而受益。升级大尺寸多芯片升级大尺寸多芯片ChipletChiplet封装技术封装技术,1616层芯片堆叠封装产品大批量出货。层芯片堆叠封装产品大批量出货。2 2024年上半年公司对大尺寸多芯片Chiplet 封装技术升级,新开发了Corner filI、CPB等工艺,增强对chip 的保护;启动基于玻璃芯基板和玻璃转接板的FCBGA芯片 封装技术,目前已完成初93、步验证;16层芯片堆叠封装产品大批量出货。2Q24营收57.98亿元(YoY +10.1%,QoQ +9.8%),归母净利润2.24亿元(YoY+217%,QoQ+128%),毛利率为16.00%(YoY +4.7pct,QoQ +3.9pct)。公司2024年营收目标为252.80亿元,同比增长13.52%。研发费率销售费率10%8%6%4%2%0%-2018 2019 2020 2021 2022 2023毛利率一 一 净利率17.2%13.9%11.7%6.1%3.6%2.5%1.0%2021 2022 2023归母净利润(亿元)YoY127 2000%10-1500%1000%6-5094、0%4-0%2-0+500%201820192020202120222023收入(亿元)YoY250-50%200 40%150-30%100 20%50 10%0+0%2018201920202021 2022 2023通富微电:全球第四大通富微电:全球第四大OSATOSAT厂厂 商商,AMDAMD 最大封测供应商最大封测供应商资料来源:Wind,通富微电公告,国信证券经济研究所整理请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后的免责声明及其项下所有内容图:通富微电主要财务数图:通富微电主要财务数据据20%15%10%15.5%13.7%管理费率 财务费率5%0%-2019 95、202015.9%0.5%2.1%8-2018伟测科技:第三方集成电路测试企业,先伟测科技:第三方集成电路测试企业,先进封装推动测试需求进封装推动测试需求聚焦高算力芯片、先进架构及先进封装芯片、高可靠性芯片的测试需求,客户数量200余家。伟测科技成立于2016年,是独立的第三方集成电路测试企业,主营业务包括晶圆测试、芯片成品测试以及与集成电路测试相关的配套服务,坚持“以中高端晶圆及成品测试为核心,积极拓展工业级、车规级及高算力产品测试”的发展策略,聚焦高算力芯片(CPU、GPU、AI、FPGA)、先进架构及先进封装芯片(SoC、Chiplet、SiP)、高可靠性芯片(车规级、工业级)的测试需求96、。目前客户数量200余家,涵盖芯片设计、制造、封装、IDM等类型的企业。ChipletChiplet 增加测试需求和难度。增加测试需求和难度。Chiplet将一颗大SoC芯片拆分成多个芯粒,众多芯粒的测试需要在晶圆阶段完成,这需 要更多的探针来同时完成测试,同时其相较于测试完整芯片难度更大。公司聚焦高端芯片测试,需求和价值量均有望受益。2Q24 实现收入2.46亿元(YoY+43%,QoQ+34%),归母净利润1116万元(YoY -74%,QoQ +3751%),毛利率为30.06%(YoY-8.7pct,QoQ+3.5pct)。图:通富微电主要财务数据图:通富微电主要财务数据收入(亿元)Y97、oY250%200%6-150%100%2-50%-0%2018201920202021 20222023资料来源:Wind,伟测科技公告,国信证券经济研究所整理 归 母 净 利 润(亿 元)YoY300%200%100%-100%201820192020202120222023-毛利率 一净利率51.6%50.6%50.5%48.6%26.8%21.6%2019 2020 2021 2022管理费率财务费率2021 2022 2023研发费率销售费率2019 202020%15%10%5%-0%201854.3%14.7%2018请务必阅读正文之后的免责声明及其项下所有内容请务必阅读正文之后98、的免责声明及其项下所有内容60%50%-40%-30%20%10%-0%-16.0%2023一、国产替代进程不及预期。国内半导体企业相比海外半导体大厂起步较晚,在技术和人才等方面存在差距,在国产替代过程中产品研发和客户导入进程可能不及预期。二、下游需求不及预期。全球电子产品等终端需求可能不及预期,从而导致对半导体产品需求量减少。三、行业竞争加剧的风险。在政策和资本支持下,国内半导体企业数量较多,在部分细分市场可能出现竞争加剧的风险,从而影响企业盈利能力。四、国际关系发生不利变化的风险。我国半导体产业链在部分环节需要依赖海外厂商,若未来国际关系发生不利变化,可能对半导体产业链运营产生重大影响。请99、务必阅读正文之后的免责声明及其请务必阅读正文之后的免责声明及其项下所有内容项下所有内容风险提示风险提示分析师承诺分析师承诺作者保证报告所采用的数据均来自合规渠道;分析逻辑基于作者的职业理解,通过合理判断并得出结论,力求独立、客观、公正,结论不受任何第三方的授意或影响;作者在过去、现在或未来未 就其研究报告所提供的具体建议或所表述的意见直接或间接收取任何报酬,特此声明。重要声明重要声明本报告由国信证券股份有限公司(已具备中国证监会许可的证券投资咨询业务资格)制作;报告版权归国信证券股份有限公司(以下简称“我公司”)所有。,本公司不会因接收人收到本报告而视其为客户。未经书面许可,任何机构和个人不得100、以任何形式使用、复制或传播。任何有关本报告的摘要或节选都不代表本报告正式完整的观点,一切须以我公司向客 户发布的本报告完整版本为准。本报告基于已公开的资料或信息撰写,但我公司不保证该资料及信息的完整性、准确性。本报告所载的信息、资料、建议及推测仅反映我公司于本报告公开发布当日的判断,在不同时期,我公司 可能撰写并发布与本报告所载资料、建议及推测不一致的报告。我公司不保证本报告所含信息及资料处于最新状态;我公司可能随时补充、更新和修订有关信息及资料,投资者应当自行关注相关 更新和修订内容。我公司或关联机构可能会持有本报告中所提到的公司所发行的证券并进行交易,还可能为这些公司提供或争取提供投资银行101、、财务顾问或金融产品等相关服务。本公司的资产管 理部门、自营部门以及其他投资业务部门可能独立做出与本报告中意见或建议不一致的投资决策。本报告仅供参考之用,不构成出售或购买证券或其他投资标的要约或邀请。在任何情况下,本报告中的信息和意见均不构成对任何个人的投资建议。任何形式的分享证券投资收益或者分担证券投 资损失的书面或口头承诺均为无效。投资者应结合自己的投资目标和财务状况自行判断是否采用本报告所载内容和信息并自行承担风险,我公司及雇员对投资者使用本报告及其内容而造成的一切 后果不承担任何法律责任。证券投资咨询业务的说明证券投资咨询业务的说明本公司具备中国证监会核准的证券投资咨询业务资格。证券投102、资咨询,是指从事证券投资咨询业务的机构及其投资咨询人员以下列形式为证券投资人或者客户提供证券投资分析、预测或者 建议等直接或者间接有偿咨询服务的活动:接受投资人或者客户委托,提供证券投资咨询服务;举办有关证券投资咨询的讲座、报告会、分析会等;在报刊上发表证券投资咨询的文章、评 论、报告,以及通过电台、电视台等公众传播媒体提供证券投资咨询服务;通过电话、传真、电脑网络等电信设备系统,提供证券投资咨询服务;中国证监会认定的其他形式。发布证券研究报告是证券投资咨询业务的一种基本形式,指证券公司、证券投资咨询机构对证券及证券相关产品的价值、市场走势或者相关影响因素进行分析,形成证券估值、投资评级等 投103、资分析意见,制作证券研究报告,并向客户发布的行为。请务必阅读正文之后的免责声明及其请务必阅读正文之后的免责声明及其项下所有内容项下所有内容国信证券投资评级国信证券投资评级投资评级标准投资评级标准类别类别级别级别说明说明报告中投资建议所涉及的评级(如有)分为股票 评级和行业评级(另有说明的除外)。评级标准 为报告发布日后6到12个月内的相对市场表现,也即报告发布日后的6到12个月内公司股价(或 行业指数)相对同期相关证券市场代表性指数的涨跌幅作为基准。A股市场以沪深300指数(000300.SH)作为基准;新三板市场以三板成 指(899001.CSI)为基准;香港市场以恒生指数 (HSI.HI)104、作为基准;美国市场以标普500指数 (SPX.G1)或纳斯达克指数(IXIC.Gl)为基准。股票投资评级股票投资评级优于大市股价表现优于市场代表性指数10%以上中性股价表现介于市场代表性指数10%之间弱于大市股价表现弱于市场代表性指数10%以上无评级股价与市场代表性指数相比无明确观点行业投资评级行业投资评级优于大市行业指数表现优于市场代表性指数10%以上中性行业指数表现介于市场代表性指数10%之间弱于大市行业指数表现弱于市场代表性指数10%以上免责声明免责声明国信证券经济研究所国信证券经济研究所深圳深圳市福田区福华一路125号国信金融大厦36层 邮编:518046 总机:0755-82130833上海上海浦东民生路1199弄证大五道口广场1号楼12楼 邮编:200135北京北京西城区金融大街兴盛街6号国信证券9层 邮编:100032本报告来源于 博投研。请勿外传!

    下载